特性が改善されたFMステレオ受信機 アナログ構成デジタルFMチューナースキーム付きVHFレシーバ(FMチューナー)

インターネット上では、CXA1538シングルチップマイクロ回路の包含のためのかなりのいくつかの異なるスキームがあります。 材料の一般化、私は単にしました。 私はデータシェットから基準としてスキームを取り、最も繰り返しの包含スキームを選びました。 私は調整方法、すなわちVarcapsの助けを借りてもっと興味がありました。 可変容器のコンデンサを使用したいという要望はありませんでした。 そしてその上、私はデジタルプロジェクトで受信機を使用するという見込みに興味がありました。 データシェットからのスキーム:

私はFM範囲のみを受け入れることを計画しているため、恩赦は恩赦によって署名されました。 この計画はAMチェーンを縛り付けた。 まあ、実際、そしてそれはそれです。 VARACAPSとマルチターン可変抵抗を置き換えることで可変容量の怖いマルチシアティブコンデンサ(従来の可変抵抗によってはかなり着用できます)。

以下は完全なスキームです (ルールに従ってすべてのものとして):

プリント回路基板:

調整を減らして巻きの絞りを圧縮し、その範囲の全幅にわたるステーションの最大の感度と受信があるような状態の検索を減らす。 アンテナは、長さ40~50cmのワイヤの長さとして機能します。

自家製ラジオ受信機

スキン。 r
無線コンストラクタ2000、No.4、P.6-10

最近の要素ベースを使用すると、十分に高品質の音と大量に独立して無線受信機を作成できます。 このデザインの作者は次のものを提供しています ステレオラジオFMラジオ受信機の方式 利用可能な要素で完了し、次の機能を備えています。デジタル排水と音量コントローラ、ブリッジ回路上に作られたステレオキルター、小さい「ボディキット」の小さいステロイド。

ラジオの一般的な特徴:
感度........ 6μV
再現可能な周波数の範囲.......... 70 ... 10 000 Hz
ステレオカナールの分離.............. 40 dB
ティモンを調整する範囲................ + - 18 dB
負荷2 OHMの定格出力電力............. 6W
最大出力電力.............. 12 W
公称出力電力でのサマル境界............ 0.8%以下
供給電圧............. 10 ... 15 V

ダイアグラム自体は条件付きでいくつかの部分で構成されています。

ラジオタクト

ラジオレセプタクルは、KS1066H1の広範囲のマイクロ回路(その完全なアナログK174H4)上に作られています。
このマイクロ回路の特異性は、そのラジオレセプタクルが低PC方式(60 ... 70 kHz)、帯域幅帯域からのコンポジットに従って行われ、したがってPC経路内の入力回路と輪郭を使用する必要がなくなったことです。これらの関数は、マイクロ回路に含まれるオペレーティングアンプ上のアクティブなRCフィルタを実行します。 このマイクロ回路を使用した唯一のモーター製品は、Varcapで調節可能なヘテロダイン輪郭です。
ヘテロダインコイルは、PEV-0.61ワイヤーで浸されたフレームレイトです。 87 ... 108 MHzの範囲では4ターンです。 シャンクドリルM3のコイルは鈍い。 その後、結果として生じる「ばね」をドリルから取り除き、料金に入る。 必要な範囲のコイルの調整は、ターンの絞りを圧縮することによって行われます。
ここでのアンテナの役割は、ワイヤまたは伸縮式アンテナを実行する。
ラジオトラップの図を図1に示す。

ステレオーダー

ステレオドライヤの回路はすべて同じ図1に表示されます.A2 KA2263チップ(Analogs TA7343、AN7420)で実行されます。 ステレオサーマルを設定することは、調整されたラジオ局を有する構成抵抗を有する「ステレオ」を達成することが必要であるという事実にのみ減少する。 デコーダがステレオで動作しているときは、VD2 LEDが始まります。

ボリュームとティンブレ

音量と音色ユニットは、K174H48特殊化されたマイクロ回路上で行われます(そのアナログA1524も適用できます)。 調整は電子的方法(入力における定電圧のレベルを変えることによって)によって行われます。 同時に、異なるチャネルで同期レベル調整に抵抗のデュアル変数を使用する必要はありません。 ボリュームと音色調整ノードの音量を図に示します。
ボリューム調整は、R12抵抗器、R13ステレアバランスシステム、低周波抵抗R15のTIMBRE、高R14によって行われます。
出力信号は結論11と8から取り除かれます。

アンプNC。

LFアンプはブリッジ回路に含まれる4つのK174UN14チップ上に作られています。 このチップTDA2003のアナログ。

構造的には、すべての装置は複数のプリント回路基板(各モジュールごとに別々に)製造されています。 そのような設計解決策は、製品全体の組み立ておよびその構成を単純化することを可能にした。
プリント基板のスケッチを図面に示す。

あなたがより詳細な情報に興味があるなら、あなたはそれを私たちの図書館にダウンロードすることによってそれを筋肉誌で見ることができます。

アーカイブ - サモプールFMチューナー - コンピュータマガジン

サモパルFMチューナー

音楽を聴きたいときは、オーディオCDを取り、それをCDドライブに入れます。 CD高品質の録音と80分の音楽。

私も驚いた。 これらのオーディオCDを打つ。 ここで私は私を好きなほとんどすべてのパフォーマーの苦いねじの上にいくつかのGIG MP3 - Shekを持っています。 私のコレクションにはまれな曲だけが存在しません。 そして再生は、その聴覚装置の下の等化器を介して構成することができる。)。

いいえ、まあ、あなたは完全に後ろ者です。 誰もがあなたのドワーフとハードディスに着用されています。 そして私はFMチューナーを持っています。 私は欲しい - 私は1駅を聴き、私が疲れているなら - 私は別のものに耳を傾けます。 ニュースのおかげでイベントが常に最新の状態になりました。 そしてすでにクールなラジオ放送と描画を描く、私は静かにしており、彼らを聴くことは途方を尽くして気分を上げることができます。 また、チューナーでは、MP3、OGGなどとして、サウンドを録音して便利な形式に変換することができます。

好奇心が強い対話、そうではありませんか?

手が曲線ではない場合

はい、それは確かに、ここで巧みな手が必要になるでしょう。 今日FMチューナーを購入するために特別な問題はありません。 しかし、私たちの父親や祖父母が60-70年代に行ったように、人が熱心な電子として自分自身をテストしたいのであれば、これにはそれほど多くの財源と人的資源がないでしょう。

まあ、実際にはあなた自身の受信機を集めることは困難であり、そしてそれをコンピュータからの構成で制御しますか?

機器を取る

図1において、No。 図1は、同じチップK174HA34(TDA7021のアナログ)で組み立てられたFM範囲のFM範囲の電気概略図を示している。 私は同様のチップが18本の足を持つことができることに注意していますが、なぜそのような雷の喉が必要ですか? ;)

このマイクロ回路は2.7 ... 7bを操作することができ、次いでコンピュータから5ボルトで十分である。 そしてそれはU-U-Yを消費します - 7-8 mAの価値はありません。 個人的には、私はこのチップを燃やすことはできませんでしたが、逆極性の電力接続ではあるが、まだ私の意見では、チップがパネルに取り付けられているときより便利です。 まあここに人がいます。 はい、そのようなことがあります - 私はDIP16のパネルが好きです;)

スキームについて少し: 公称0.1μFでコンデンサC1~C5。 C6 - 68 PF。 C7とC8 - 100 PF。 C9およびC12 - Philips Electryctsは、47μFからボルト16であり得る。 C10 - 1500 PF。 C11 - 820 PF。 C13 - 6800 PF。 C14 - 300 PF。 C15 - 300 NF。 公称ピコとナノを持つ能力は、黄色の両面の液滴の形で購入することをお勧めします。 審美的に見えます。)

MLT抵抗器0.125 W:R1~100 COM。 R3 - 330オーム。 R2とR4 - それぞれ150 COMと10 COMあたり0.125 Wをトリミングします。 VD1 Varcap VD1 KQ109ハウジング上の赤または白の点を持つスタンプ。

ヘテロ水蒸気の回路回路では、C16コンテナを88℃(FMまたはトップVHFでもある)の範囲を受け取るために7~10 pF(2つのスタイルまたは茶色の液滴))に入れる必要があります。そして、ブッシュVKH2)。

インダクタンスL1は、直径が1mmの直径が0.5mmの直径3mmの5つのツイスタで被覆することができる。 便宜上機械的締め付け巻線のためのコアとして、従来のボールペンハンドルから10..2 mmダクトチューブの断片を取ります。

有効化と設定の準備 R4回路をオンにする前に、点Bへの最大信号伝送、およびP点B信号からテープレコーダまたはコンピュータのオーディオアダプタの線形入力に設定されます。 信号と弱いはわずか50 mVですが、かなり聞いている必要があります。 点Aには、3.6ボルトの電圧が印加されるべきであり、R2はこの電圧のVD1 Varcapへのゼロ転送に設定されている。 受信機がオンになると、TVチャンネル「1 + 1」を持ち込まなければなりません。 そうでなければ、極端な縫製針で巻線L1を伸縮させたり、極端な縫製針で伸縮したり、FM範囲の始まりにあるこのステーションの受信のための方式を設定する必要があります。 次にR2をR2に設定して、電圧変速機3.1..3.6 VをVARACAPに設定し、受信機が信号を受信するようにして、範囲の最後にあるラジオ局「弊社ラジオ」を確認する。

セットアップが完了すると、私はあなたのファッショニスタから気づかれずに姉妹が彼女のお気に入りのマニキュアと2-3のブラシストロークを取り、揺れや不注意なツアーがその周波数特性を妨害しなかった。

この記事は無線機器についてのものではないので、自己光学FMチューナーをどのように行うことができるかについての量については、その機能のさらなる発展に到達しましょう。

隣人は聞こえない;)

「いいえ、まあ、実際、事件は何ですか? このような受信機の洗練されたチップ、および出力は50mVの音を与える。 まあこれは袖の列を使ってそうではありません!」 -

私はすでに読者の不利な報酬を聞いています、そしてさらにもっと、私はすでに憤慨の中で見え、ウィーンは誓い始めました。 何が言わないのですが、私は彼らの傷の上に香りがしています。 はい、すべてが単純だったら、月に住んでいたでしょう。)

このサウンドアンプ回路(NERCHES)は新品ではありませんが、何かができます。 すなわち、前回の回路の抵抗R4がある2mVのビープ音信号であり、点Bに供給され、点Dで0.7...1Vに強化し、テープレコーダの線形入力に給電するのに非常に適している。コンピュータオーディオアダプタ。 この方式では、それぞれカレッジC1、C2電解ソフトウェア22μFと100μF。 抵抗MLTR1~220KΩ0.125W、およびR2~200Ω0.25W。 CT3102トランジスタブランド(帽子の白い点、その側面の緑色)。

点Dと共有ワイヤとの間の特定の技能では、8オームの抵抗を持つ0.5 Wのスピーカーでさえも接続できます。 しかし、それはそうすることです。

Chifunction;)

はい、間違いなく、R2では、任意の波に受信機を設定することができます。 しかし、受信頻度のアドミッションノブでFMチューナーの購入が見えましたか? これはXXI世紀のアナクロニズムであり、塵埃の汚染によるマルチデイ操作の過程では、調整時のトリミングされた抵抗器が干渉につながり始め、受信の消失を開始し、非常に荒い調整に言及してください。 さらに、中国の収集以外のチューナーよりもどのように悪くなりますか? はい、 "桁"が今どこにもない;)

だから私はLPTポートで組み立てられたTsakuの代替品が見つけるのが難しいと言っています。 しかし、ところで、彼は図1にある。 3。

eh、1995年、1995年、SoundBlasterの代わりに、私は買うことさえしませんでしたが、私は16抵抗MLT 0.125 Wでパッシブココックスを集めました。その他(図中は赤)7.5 com。 その後、ボードはそんなに小さくなったので、それは簡単にCentronics-Plug Buildingに収まりました。 私が覚えているように、友達は楽しい時に来て尋ねます:

hee、そしてプリンタはあなたを退屈させましたか? そしてCovoxはどこにありますか?

はい、ここで彼、 - 私は彼らに言います。

そしてそれはどのように、私はアーカイブの古いwolf3dに走った...

おっと、私がふさわしいもの。 まあ、はい、これではありませんが、...

さて、私はここに何を追加することができますか? 実際には、デジタルアナログコンバータ(DAC)とBourgeois DAC、「アヒル」で、必要とされるすべてのもの、それを超えています。 彼らはそこにいます、それは「アヒル」を専門とすることを意味します - ハンターが見つかりました。 ここで私たちはPripyat - あなたがダウンロードします。 ああ、何か何かが私にもう一度苦しんだ。 読者はまだ私が昨日何かを言ったと思います。 ;)

そのため、LPTポートバイトを介して0から255までの値で出力に帰属すると、レシーバのチューニングを制御し、レギュレータを探していないものに耳を傾けることができます。 しかし、ここでのポイントは、このDSA方式が256個のセットアップ手順しか提供しません。 khz。 まあ、はい、78ほどです。無線局の放送の帯域幅は約100kHz(頻度50 kHzの偏差)であるため、「すでに」の場合は「すでに」があるのですが。設定ステップは非常に大きいため、すべてのステーションを良質で撮影できるわけではありません。 奇妙なことですが、1997年には、FM範囲のステーションが150 kHzの間隔で配置されたとき、彼らはかなりよく取られました。 しかし今、彼らはもっと見栄えがよく、いくつかのチューナーは単に逃します。

さて、どんな問題? LPTポートには4つのプリンタポ\u200b\u200bートコントロールがあり、DACの上に少なくとも2つの接点が置かれている場合、離散性は4回改善され、すでに20 kHzになります。 それほど悪くないのは何ですか。

そこにあるもの? 4本の足は追加の類似の抵抗対を通してDACに取り入れ、ここに5 kHzの設定の精度です。

誰かが、そのような設定で受信機の操作のために、デバイスを管理するためのプログラムを作成する必要があります。 だから何? そして今日誰が私たちのプログレッシブ時間をプログラムしていませんか? ;)

はい、そして私はほとんど忘れていました、それは私たちのアナログ出力からのものです。そうすれば、「アヒル」を設定信号に供給する必要があります(図1を参照)。

私はDACとしての通常の操作では、LPTポートはSPPモードまたはEPPモードでなければなりません(BIOSセットアップを参照)。

- "どこにいますか? 覚えている!」 または自動検索についての佐賀

頻度の自動調整について話す時が来ました。これにより、プログラムが自動的に範囲の横にあるステーションを見つけることができ、ユーザーに陥らないようにしてください。あなたはあなたの愛する人を意味します。

シンプルなゲインと信号を反転させて脚部9または点C(図1を参照)受信機チップの設定をステーションへの監視、局がない場合は論理ユニットとしてデジタル信号を取得でき、論理駅が引っかかったらゼロ。 そして、受信機チップの脚部9には、第1の場合に約0.7Vが形成されているため、成功した場合には、信号は0.7V未満である。

スキームでは:C1~100nf; MLT 0.125 W R1 - 6 COM、R2 - 0.25 W 100オーム。 トランジスタKT3102。 K155LN1(K555LN1、アナログSN7404N / J)の1つの論理要素DD1.1。

受信機チップには挙動の性質のそれ自身の特性があると言わなければならず、したがって、この方式は必ずしも期待される結果を与えていない、すなわち16の無線局12は安定している。 すべてのFlash-FM-MP3プレーヤーがそのような結果でさえも自慢ではありませんが、それでも最善のシェアを探します。 さらに、このために必要なだけです。)図を見てください。 五

分析された設定の原因がステーション自体の音として機能する場合(点D、図2参照)、その設定は常に受信のピークに対して実行されるため、ダイオードVD1普通の弱い整流器で、あなたは高周波ブランドD9またはその他の小さいサイズ(通常の抵抗器MLT 0.125W以下)に適したものを使用することができます。 C1~100μF; R1 - MLT 0.25 W 100オーム。 論理インバータとトランジスタは同じです。 その後、Point Eでは、キャッチステーションの場合には論理ユニットがあり、故障の場合は論理ゼロがあります。

そのため、受信機を調整するために、点E A信号から5つのLPT入力の1つへの送りを監視できます。 したがって、時点Eには幸運の信号(!)がないが、それが範囲の終わりになるまでDACの設定の値を増やす必要がある。

DD1はDD1の論理チップに供給されていることに注意してください。レッグ14(+ 5V)、脚7(GNDはその土地のためのものです))。

私たちは現在のミキサーについてのクリームや物語を破った

私はどこかに遠心分離機でしばらくの間回転するのが非常に速い場合、その後、それはクリームを与えるでしょう。 ;約)

もちろん、チューナーからコンピュータオーディオアダプタ入力に音を供給すると、アダプタにすでに実装されているため、追加のボリューム制御方式が必要になります。 だが! 結局のところ、私は本当に作者に輝きたいです。)

したがって、図5を参照してください。 6;)

そしてそれには、K561KP1チップ(アナログCD4052A)上でマルチプレクサによって収集され、単純な人類の場合、2チャンネルスイッチ4x2があるという事実を正確に把握します。 もちろん、私たちは一つの音のチャネルを含み、したがって私たちはチップのみから金属のためにハサミを取っています、私たちは私たちに慣れることさえありません、そして私たちは1kΩmlt0.125 Wの抵抗の束で集めます。 元の切替信号は、点B(図1参照)または点D(図2参照)から取ることができる。 チップの数字9と10の脚に、2ビットアドレスを出力して2ビットアドレスを制御して2ビットアドレスを制御して、3つの抵抗器(除数、短縮; O))ポイントFへの出力。アドレスのゼロ値は最大ボリュームを与え、(単位の両方のターゲット入力で)3の値は沈黙を与えます。 なぜそれはとても難しいのですか? はい、それは誰も推測していない; o)

ボリュームの4つのボリュームのうちのいくつかが少ない場合、チップK561KP2(8×1)を取り、8容量のボリュームを提供できます。 しかし、それは私なしではありません; O)

ダイエット栄養

誰かが覚えている場合、Aon'aまたはZXスペクトルからの電力線図古典的な食事。 ;)

すなわち 7。

電圧安定化方式は、K142EN5Aチップ(MA7805Uのアナログ)に集められます。 同時に、コンピュータ12 Vから取り出し、必要な5ボルトのクリーン、滑らかで干渉が必要です。 コンディアC1~100μF、C 2 - 47μF。 コンピュータから12 Vを取るのはなぜですか? とても必要! 以上です;)

もちろん、そのような低消費電力のモンスターでは、そのようなゴージャスな安定化装置のチューナーが太っているので、「彼自身は10 mAを消費しています)は、「山羊」からのコンピュータを確保することに加えて(の短絡)レシーバ方式の全体のワイヤ全体の栄養

聞いたが、暖かくない

私が知っている限りでは、このチップK174H34にはスパーリングチップK174HA35があり、これはVHF範囲を有する無線受信機の極変調を伴うステレオブロードキャストのシステムのためのステレオコンポーネントである。 したがって、これで、チューナーの改善を伴う物語はまだ終わらず、すべてが実験者のイメージングのみに依存します。

画面よりも広いほうが良い。)

出力B、D、Fには、チューナーの進化がどのように行くかに応じて、シールドオーディオケーブルを接続する必要があります。 CD-ROMのように買う方が良いです。 また、5ボルトの電源では、直径2.5mm、長さ5mmのフェライトチューブを着用したり、単にフェライトリングを取り、同じワイヤで5~10回するフェライトチューブを着用したりしません。電源回路のコンデンサC2と回路の残りの部分との間。 このシリコンと銅線をすべて金属製の箱に入れてください。 アンテナは、ケーブル本体ネットワークに含まれている輸入されたテレビから取ることができます。 はい、彼女はそのようなシナリオでそれを必要としません。 無駄のほこりのみ。 ;)

実際には、読者はこれをすべてできません。 読者によってこの記事を読むことをすでに試みてみると(編集者; of the order; of))。

私の縁を持つ小屋

私は何を言えばいい? 個人的には、私はそれを使った、外観で、野生の装置は私が386番目の機械を持っていたときに依然として いいえ、これはメルセデスのモデルではありません。 これは......まあ、一般的に、なぜあなたにはなぜですか?

だからすべてが捕まった、そして私は喜んでいました。 私は昨日ではなくはんだをはんだ付けすることを学び、そのような能力の形で、首都の大学の1つを終えたことをわかりました。 ;)

そして、何かがその後あなたのコンピュータであなたを燃やすならば、それはそれと関係ないことを忘れないでください。 何、私はあなたを怖がらせましたか? 恐れることはありません。 試してみても、そこで燃えていないものはありません。

ハッピーエーテル!


こんにちは- ステレオFM。- デジタルスケールと電子調整量、音色、バランスを持つトナー。

http:// www。 確実。 ***** / files / statja6 / statja6.htm.

仕様

受信機感度2~3mkv

受信周波数の範囲85-111 MHz

範囲表示周波数インジケータ30-199.9 MHz

再現性周波数Hzの範囲

最大歪みレベル0.1%

チャネル3 Wあたりの最大出力電力

ボリューム調整の深さ-70 ... 2 dB

LF -14 .... + 13 dBの音色の調整深度

深度調整時間VF -11 .... + 13 dB

電源電圧+ 12 ... .. + 25 V

受信機(MM)270X50X215の寸法

受信機は完全に最新の構成要素に満たされ、それは良好な音質および優れた感度を得ることを可能にした。 受信機は、電力安定化装置、デジタルインジケータ、デジタルスケールの電子部品、電子音量制御、音量制御、表示基板、バラントャーおよび音色、音周波増幅器、チューナーFM、チューナー設定ノード。 平均波から、私は今完全には関係ありませんので拒否しました。 受信機を優しく考えます。

チューナー

チューナーはPhillips TEA5711マイクロ回路上に組み立てられています。 それは非常に良い特徴を持っています、内蔵ステレオーダーがあります。 受信機方式は、最小限の無線部品、コアなしでフレームレスコイルを含まず、それらを作ることは難しくありません。

チューナーの概念

巻き戻しデータ

L1 - 7ターンを含みます。 L2 - 9ターンを含みます。 L3 - 7ターンを含みます。

全てのコイルは、直径3mmのマンドレル上に直径0.5mmのワイヤでくさびで楔状にされる。

L1 - 入力回路、L2 - UHF回路、L3 - ヘテローズ輪郭(受信周波数の範囲を設定)。

デジタルスケール

デジタル受信機スケールは、LC7265専用チップ上に作られています。 しかしながら、Feの周波数を設定する可能性を持つ周波数計です。 それは分周器であるLB3500チップとのペアで機能します。

デジタルスケール回路方式の外観デジタルスケール

デジタルスケールで使用されるインジケータ - AND22B、YDD-056AO、TOD-5263BE / G、私は使用しました - DA56-11ewa。

スケールのスケールは、TEA5711チップ上のヘテロ水族の出力に23 NCSに接続されています。

ボリュームコントロール、音色、バランス

調整器は、AngstromによってKR174HA54の国内生産の新しいチップについて行われた。

レギュレータコンセプトコントローラレギュレータ

アンプZH。

VCアンプはA2005V外部マイクロ回路上に作られています。 原則として、ヘッドフォンに受信機を聴くのにのみ適用されますが、小型のスピーカーに接続することもできます。

アンプZCH外観の回路図増幅器の外観

安定化装置

このブロックの目的は説明しないでください、すべてが明確です。 まず、私は安定剤を食品の反転から保護したいが、それからダイオードブリッジを追加することにしたので、受信機入力に供給される電圧の極性は関係ありません。 スタビライザーチップが故障し得るので、唯一の入力電圧は25Vを超えてはいけません。

スタビライザーコンセプト外装スタビライザー

無線受信機のブロック間接続の方式

ラジオの症例は日本の強力な無線電話から使用されました。 それは小さいレシーバーボードに収まるのに最適です。 ボードは、フレキシブルループ、信号、およびアンテナワイヤシールドラジオカベルによって相互接続されています。

ラジオ上の参考資料。

tEA5711のデータシート。

kR174HA54のデータシート

lB3500のデータシート。

a2005Vのデータシート。

78L05のデータシート

dA56-11WAのデータシート。

デジタルカーラジオスケールからの周波数メーター

私たちの国の動的に更新された車(外国車)のために、現在、ラジオアマチュアのために古い車のラジオやチューナーのデジタルスケール(CHC)のブロックを取得することです。

ほとんどの場合、これらのCCは、インジケータユニットを搭載した単一のデジタルブロック(堅固にまたは柔軟なループ)でLB3500ディバイダとペアのSanyo LC7265チップで行われ、受信頻度をAM MWの範囲内に示すことを目的としていました。 -LW(SV-DV上)とFM(ワールドカップVHF)。 LC7265の中間周波数の基準によると、「AMCHC範囲で表示するステップ(10)KHz(AMCHC範囲)または50 kHzの順に、「縫製」可能なオプション(表1,2を参照) FM範囲5MHz)。

彼の構造では、Radio Amateursはこれらのブロックを直接意図した目的で、FM受信機よりも多く、FM1,2だけでなく、民間のSV-Bandから始めても、FM1,2だけでなく、他の範囲でもあります。 MHz、50 kHzの刻みがあります。

このCCMは周波数計として使用されていません。 読み取り値はインジケータブロックから読み取られ、それらに追加されます(そしてFM範囲では控除することができます)IFの選択された値は完全に便利ではありません。 はい、表示ステップは50 kHzで、FM FM FMが選択されている場合は、周波数を正確に測定できません。 許容できるステップ1 kHzの範囲で、上限は2 MHzに制限されています。

位置からの出力は、最もシンプルなミキサーを持つ参照ジェネレータ(OG)によって使用できます。 圧電セラミック共振子が455 kHzに使用されます(それはほとんどすべてのインポートされた「Soapboxes」に見出すことができます)。 ミキサーの入口に信号がないと、CHCインジケータは000 kHzを示します。 測定された信号がミキサー入力に印加されると、1 kHzの周波数が上限までの増分は1999 KHzです。 次に000 kHz、そして最大18 MHzに続く。 これは、ユニットの上のデジタルスケール内の高齢の放電の数字(am範囲内のメガヘルツ)のスコアと表示が行われていないためです。

実際には、これはどの範囲(MHz)が測定頻度であるかを知る必要があることを意味します。 すなわち、第1のセクションの後、最大18MHzの範囲は2MHzのセクション(0から1999 kHz)に分割されます。 同時に、偶数値(メガヘルツ)で2MHzを超えるセクションの周波数は、常にインジケータユニットの最初の桁で示されます。

したがって、周波数測定アルゴリズムは2つの段階で表すことができます。

1.まず、FM範囲で、±50 kHzの精度でテスト信号の周波数を求めます。 たとえば、インジケータは14.00 MHzを表示します。 実際には、周波数は14.00 - 10.7 MHz(Processed PC)\u003d 3.3 MHzになります。

2.次の測定は、AM範囲の接続プレフィックス(ミキサーとOG)を使用して実行されます。 インジケータは、KHzの測定周波数の最後の3桁だけを表示します。 378(kHz)と言いましょう。 結論:測定された周波数は3.378MHzに等しい。

FM範囲では、4桁の最初の桁が偶数になると、測定値を明確にしてAM範囲の範囲内の範囲(単位)の最初の桁は無視されるべきです。 たとえば、15.00(インジケータを示しています) - 10.7(PCを引く)\u003d 4.3 MHz(1桁目 "4" - 偶数)。 測定の第2段階で、インジケータは1378を示す。測定された周波数は4.378MHz(単位は無視されます)になります。

そのような測定技術を適用することは、もちろん、2つの単純な算術演算を実施して、OGとミキサーなしで行うことが可能である。

周波数測定を行う場合、50kHzの刻みでのスケール表示の精度が十分である場合(例えば、FMのVHF範囲で)、比較的低く印加することなくアルゴリズムの第1の点に制限されることが可能である。周波数ミキシングコンソール。 同時に、測定の上限は199.5 MHzに達することができます。

以下はCHC方式(図1)で、上記とはわずかに異なるだけです。

これらの表1および2から以下のようにして、LC7265チップのスイッチング出力を使用すると、このCHCを中間周波数+ 455 kHz、-10.7 MHzで作業することができます。

コンソールでは、マイクロ回路が支持発生器561として適用される(図2)。

トランジスタのフィールド上のミキサーの動作は、約2V以上の発生器電圧で可能である。 したがって、コンソール方式の完全な組み立て前に、Piezeramic Resonator CR1の活動を確認することをお勧めします.C3コンデンサの455 kHzの周波数の電圧は少なくとも2 Vでなければなりません。発電機方式(ミキサー)では、出力に取得することができ、コンソールは約500 mV(IC7265の入力電圧で100~600 mV)です。

コンソールを調整するときは、まずCSSの正しい作品を確認してください。 これを行うために、455kHzの周波数の信号がGSSからの入力に供給されます。 インジケータは000を示す必要があります。

CHC方式では、任意の低電力マイクロ波トランジスタP - N - P型KT3127、CT347、CT326をVT1として適用することができる。

情報源:

1. Romankuk。 受信機のためのCCS。 - Radiomir、2002、No. 6、p。 8。

2. S. Efimenkoら。無線構成の頻度を示すキットチップ。 - Radiomir、2001、No.8、p。 40。

3. http:// www。 /datasheets/sanyo/lc7265.pdf。 html

FM受信機用のデジタルスケール

http://ラジオホビー。 ORG /モジュール/ニュース/記事。 PHP? ストーリーID \u003d 498。

投稿者Mactep at 04/20/2009 14:30:00(6252読み)

著者はPRIMUSです。

デジタルスケール と組み合わせて使用\u200b\u200bするように設計されています FM。-Repersスーパーエイジタイプ SHA1191, SHA1238。, TA2003。, TA8127, TA8164。, TA8167。, TE5711 et al。(以外の K174H34。, A7021, TDA7021。, TDA7088。,KA22429。).

ところで、For CXA1238。 あなたはここで見ることができます。
装置は、マイクロ波増幅器(エミッタリピータ)、デジタルブロックおよびインジケータブロックからなる。
スキームを見る:

デジタルスケールアンプはチューナーチップとヘテロダインコンパクトポイントで直接ピンに半田付けされ、チューナーチップ:SHA1191-(出力7)、SHA1238-(出力22)、T8164-(Output 13)、T8167-(出力13)結論21)、TEA5711-(出力23)。 同時に、増幅器の追加容量を追加するために、FM範囲の小さな変位が可能です。 初期状態に戻るには、ヘテロダインコイルのコイルを少し伸ばす必要があります(スケールの証言に焦点を当てます)。
当初、データシートを検討した後、100kHzの刻みでスケールがなされたため、50 kHzの刻みでスケールが行われました。
2番目のオプションでは、正確なチューナーチューニングが必要です - 最後のハーネスは安定していない、数字はジャンプします。 デジタルディスプレイは2つのバージョンで作られています.4ビットのALS324Bと、前面からの離散AL307 LEDの5ビットであり、これは前面から数字セグメントを持つマスクで覆われています。

SRINTレイアウト4.0の印刷料金をここで撮影できます。



LC7265のマイスケールオプションの概略図

http:// vitsserg。 /18434.html。

私が他のスキームでは好きではなかったすべてのものを考慮に入れる。 まず、スケールのテストを変更するときにちらつき数に悩まされました。 その理由は一般的な抵抗です インジケータのすべてのセグメント。 そのため、セグメントごとに「個人用」抵抗を取り付けました。 抵抗器の大きさは、セグメントあたり8~10 mAの条件から計算した。 にとって インジケータと電圧12 V抵抗d。b。 約1.2 ... 1.3 com。 にとって 電流は、より多くのものになるほうが良いので、抵抗d。b。 約910オーム... 1.1 com。 出力の場合、2つのインジケータのセグメントが直ちに抵抗dです。B. 2倍少ないです。
第二に、IMSは電源電圧に対して「気まぐれ」であることがわかった。 したがって、LC7265の場合、およびLB3500の場合、LM317LZ ICには別々の調整可能な電圧安定化器があります。 包含の回路はデータシートから標準です。 しかし、ここでは「待ち伏せ」があります - 最大許容電圧 スケールスケールごとに。 したがって、パネルにインストールする前に、6 ... 7 Vのオーダーの電圧を設定する必要があります。セットアップ時にこれらの電圧電圧を制御する必要があります。 スタビライザー内の抵抗器を再計算します。
第3に、IF IN AMとFMセクションが指定されている結論。 データシート、エラー、または私は何かを理解していませんでした。 したがって、これらの結論のために、スイッチはコンピュータジャンパの形で提供され、所望のレベルの組み合わせ(トランジスタブロックVHFタイプKCF - 201およびそれらと共に、全てのジャンパFIF1 ... FIF3 D。B)を選択する。 。「ゼロ」に接続されている、ソース(またはエミッタ)のリピータは必要ありません)。
この方式では、プリント基板の2つの変形が開発されました。リモートインジケータとともに、およびメインボードに対して垂直に設置されたインジケータが開発されました。

周波数計の境界周波数を250MHzに増やすための簡単な回路。

http:// RA4A。 ***** / lb3500.html

スキームで殺害された193シリーズの分周者を取得することの難しさに直面しました。

それは私たちのラジオロールの上にかなり安いルーブルを使うことができます。)あなたは面白いチップを購入することができます LB3500。 . 分割係数8の分周器です.8動作範囲MHz。(実際には300MHzまで実行しています)

マイクロ回路は持っています 入力アンプと信号発生器 感度は非常に高く、到達する 250 MHzの周波数で50 milvolt。 非常に低い消費電力!

https://pandia.ru/text/78/652/images/image018_7.gif "width \u003d" 218 "height \u003d" 108 "\u003e抵抗(結論の間、7の間)は調整できます 感度 チップですが、抵抗抵抗を低減することで、デバイスの入力抵抗を減らすことが必要です。) 抵抗器を全く除去することができ、入口チェーンが可能です。 (お勧めできません!)

注意: - 1MHz未満の周波数で。 マイクロ回路は機能しません!

出力分割器は信号レベルを一致させるために必要です。 私はリモートプローブの形で収集するための仕切りを体系に助言します cext..

チェックアウトすることで、周波数計の読み取りに8つの8つが測定された周波数です。

計算機や紙の上の計算にうんざりして得られる場合: - 私は簡単な洗練を提案します。 これを必要とするのは、何かを必要としています.Quency Meterでサポート石英を変更してください。 簡単な計算をします! - 石英周波数を係数を掛けたものです 1.25. たとえば、1MHzで共振器を持っていました。 - その後 1.000MHz。 Coeffに乗算する。 1.25 必要な石英の頻度が等しい 1.250MHz。。 すべてがとても簡単です! 小数点を1桁に右に転送することはできます。 (混乱しないように - それはまったくクールになります!)

ところで、 - したがってあなたは周波数メーターを洗練することができます PIC 16F84(石英 4MHz。に置き換える 5MHz。 - 計算を参照してください。 コントローラはまだこのようなクロック周波数で動作します。 チェック - OK!)

WFM Padride "クローバー" onkから120-190 MHzの範囲.

BumbleBee、OSA、その他のスツールと非吸い込みのタービンの名前を持つポータルに現れたWholesale WFM昆虫と、ポータルだけでなく、この範囲の単純なWFM受信機の完全な欠如インターネットは、指定された範囲の受信者の開発のために、インターネットを要求します。 昆虫が彼を愛しているので、彼は「クローバー」と呼ばれました。 また、有限および高コストのために適用されないように、カテゴリカル状態が適用されないようにした。 第一に、中国の生産の受信機には、Chip1691チップで2つのレイアウトが行われました。 しかし、別の条件は周波数範囲でした - 190MHz(BumbleBeeを意味する)まで。 ここでSHA1691の問題を始めました。 簡単に言えば、彼女はまだ働いていた150 MHz、そして150 MHzの後、単一の標本が働いた。 その後、モノラル高品質のFMレシーバの構築を目的とした、比較的手頃な価格で安価なTE5710マイクロ回路を適用しました。 TTHチップは最も大胆な期待を超えていました:それは自信を持って190 MHzまでだけでなく、もっと高い(ヘテロダインは232MHzの頻度で安定して働いた)。 さらに、1つのワイヤから約40cm以上のワイヤからアンテナを有する受信機は、通常のアンテナ - ゴムバンドを用いて、WFMモードにおけるAR - 8000の感度を超える。
この方式はチップデータシートに基づいて設計されています。 入札は最小限です。 線形安定剤は、任意の出力電圧2.7~4Vで使用することができ、UHCチップも使用することができる。 受信機の最初のインスタンスでは、MS34119をUNFとして使用したが、2番目のインスタンスは、より良い音とより小さなノイズレベルを提供するようにTDA7050によって使用されました。 BumbleBee Soundが大声で、清潔で読みやすいことが判明しました。 線形安定剤を入れることはできませんが、塩電池からの栄養時には、Varcapsによって非常に不快な励起が起こります。

コンセプト電気スキーム


この方式は非常にシンプルで非常に詳細です。 製造のケアの対象から、平均以下の資格を下回るラジオアマチュアを繰り返すことができます。 PCB材料 - 厚さ1mmの箔ガラス繊維は、マークされた場所で直径0.4mmの銅線で処理されます。 開口孔の部分の設置から。
すべてのコイルは、直径0.6mmの直径0.6mmの直径3mmのシャンクドリルに巻き付けられ、続いて小さな張力が続く。
周波数の再編のために、適切な制御回路を備えたVarcaps BB134(BB133)が使用される。 再構成の範囲 - 約8 MHz。

設定は非常に簡単であり、所望のヘテロダイン周波数コンデンサC16とその後の調整とを初期コンデンサC11で取り付け、次に最小のノイズで圧縮ストレッチL2およびL1を設置することである。
設定の場合は、スキャン受信側のみが必要です。 RM + 10.7MHzの周波数を配置した(マルチターン可変抵抗R7のエンジンは中間位置にあるはずです)、スキャナーアンテナをヘテロ水のループとC16の滑らかな回転にできるだけ近づけるようにします。最大Sメーターの読みを達成します。 これで、ヘテロダインの設定は終了します(ヘテロダインはスクリーンに入るのに非常に望ましい)。
R7からRMを使用してAPCシステムをキャプチャする(セットアップLEDが点灯します)。 ノイズを見下ろす、それは最低ノイズでC11を非常にスムーズに設定します。 「Pansulus」ブレイス技術をうまく適用できます。 ノイズの外観の前に再びロックされ、L2の始めに最小ノイズでストレッチ圧縮で設定してください。最良の結果を繰り返す操作。 これは完了です。
この受信機では、ノイズ低減システムは適用されません。 あなたが望むならば、あなたは構成LEDの制御で分周器(例えば、SHA1691のために提案されているなど)で任意のキーを使用することができます。
詳細
プリント基板は、以前は廃棄の0402から0803までの部品サイズの部品を設置するように設計されています。それは45 x 45 mmです。 FEDフィルター - 10.7 MHz、弁別器10.7 MHzの文字Aを持つ通常のセラミックオレンジ。 ストリップコンデンサー - MURAT 9.8~60 PF(黄色)。 そのようなことが不可能な場合は、追加のコンデンサのインストールやポータルからエンジニアリング計算機を使用して、輪郭の共振周波数を計算することで、サイズが適切なサイズを使用できます。 約40ngn(0.04μH)のヘテロダインコイルのインダクタンス(0.066μH)は、約\u200b\u200b66ng(0.066μH)。
UHHのトランジスタは2SC3356(R25)を使用していますが、他の任意のもの、例えばBFR93またはAT41532を使用できます。

絵柄

レイ形式のジップアーカイブ

ケースとヒンジ部品。
ハウジングを最初に使用した、公称値100から公称値を持つマルチターン抵抗器SP3-36を使用しました。電話のソケットがオンになっているときにスピーカーはオフになります。 スピーカーとヘッドセットは、UCH TDA7050が32オーム(またはステリアリアルの2×16)の負荷抵抗のために設計されているという事実のために、24オームの追加抵抗抵抗を添加して8オームの抵抗によって使用された。
電源インジケータが表示されていないため、セットアップインジケータだけがインストールされました。
パワー - 3つのAAA電池。
ハウジング内の完全に組み立てられた受信機の現場試験の結果は、最も大胆な期待を超えていました。 (伸縮式アンテナを取り外した)はAR-8000と同じであり、アンテナは少なくとも1つの膝を伸ばし、感度はAR-8000のそれよりもはるかに優れており、完全に拡張されたアンテナがあります。スキャナーは大声で自信を持ってヒットしています。

デバイスの写真

ソビエト時間を覚えている、各ラジオアマチュアは検出器ラジオ受信機からの彼の練習を始めました。 私、正直なところ、私はこの瞬間を逃し、マルチバイブレータ、アンプなどにすぐにジャンプしました。 私は受信者をしませんでした。 しかし、何年もの間、彼のアマチュアのキャリアの初めから、彼女は十分な経験を得て、検出器の受信機に戻りたくありませんでした。 ラジオは製造されましたが、現代の要素データベースと現代の現在の周波数範囲で、周波数表示を伴う電子セットアップで、最高品質である必要がありました。 その結果として、Hi-Fiが表示されなければならなかった FMチューナー.

チューナーのための現代の要素ベースは特に満足していましたが、同時にそれはまだ選ぶことでした。 ソニーとフィリップスのいくつかのマイクロ回路を選択し、その結果として、特性、回路、構築オプションを比較して、選択はまだPhilips Chip - TEA5711に落ちました。

FMチューナー ブロック図は組み立てられており、各モジュールは自身のボード上の各モジュールで、簡単に交換する可能性のためにそれを特別にしました。 チューナでは、5つのブロックがチューナ自体、周波数計、電子音量制御ユニット、および音色、LFアンプ、およびスタビライザーブロックである。

チューナー

チューナー上述のように、Philips TEA5711チップで実行されました。 すべての必要なノードが水晶上に収集され、2.1Vから12V、ステレオディダなどに非常に広い範囲の電源電圧を持つ電圧安定化装置が内蔵されています。 マイクロ回路は、無線要素の外部アタッチメント、いくつかのフレームレスインダクタインダクタは多くありません。

ご覧のとおり、チューナーはそれほど複雑ではなく、不便な唯一の不便は、SDIP32ケースでのチップの結論の動きです - 1.778mmです。 SO32ハウジングでSOT232-1と1.27mm。 SOT287-1。
構成のために、マルチスピードスライダ抵抗SP3が使用されていますが、Vishay、SR Passivesなどの精度生産を使用することをお勧めします。
巻線データインダクタンスコイル。
l1とL3コイル - 7ターンを含む。 L2 - 9ターン。 コイルは直径3mmのワイヤPEV-0.5のマンドレルに巻かれています

周波数

周波数計は、サニオLC7265マイクロ回路上で行われ、これはインバータの減算を伴う周波数計です。 LB3500の分周器はペアで機能します。 乳房のFM(FM)の周波数は、ジャンパFIF1-FIF3(出力11,12,13)、およびSV(AM) - AIF1、AIF2ジャンパ(OUT 14と15)によって設定されます。 この場合、IF for FM(FM)の周波数は+ 10.7MHzです。

ディスプレイには、7セグメントLEDインジケータAND22B、YDD-056AO、TOD-5263BE / Gを共通のアノードで使用することをお勧めします。安価なDA56-11EWAを見つけることができました。 ディスプレイは別のボードに植えられ、柔軟なループの委託板に接続されています。 また、別のボード上には、UHFが組み立てられている - それはできるだけチューナ路にできるだけ近くに配置されるべきであるので、はんだプレートは足23 TEA5711の近くにある。

ボリュームコントローラとティンブレ

実際には チューナー すでに組み立てられており、使用できる準備ができているが、ヘッドホンを接続する可能性のために小さなアンプを追加したいと思っていましたが、自然にも、音量を調整する必要があります。 問題なく、K174HA54のマイクロ回路は、シングルチップの電子音量制御、ティンバー、バランスです。 全ての制御は4つのボタンで行われ、モード表示は4つのLEDです。

コントローラは単一の完成装置として作られており、マイクロコントローラはバスI 2 Cを制御するために必要とされない。さらに技術的特徴によれば、非線形歪みの係数はわずか0.05%である。

アンプZH。

前述のように、PSCアンプはヘッドフォンを聴くのにのみ必要です。 ヘッドフォンのための大容量は必要ありませんので、安価で手頃な価格のTDA2005チップが選択されました。これもすでに在庫がありました。 TDA2005データシートは、14Vの電源電圧で2オームの負荷で電力10Wをチャネルに与えることができます。 私たちの場合、電源電圧は8Vで、負荷は32オームで、大容量以上のもので十分です。 必要に応じて、ヘッドフォンの代わりに、小型のパッシブスピーカーを接続できます。